Intel Provides its Multi-Year Roadmap Beyond 3nm to 20A

Intel Provides its Multi-Year Roadmap Beyond 3nm to 20A

In Technology News by Daniel NewmanLeave a Comment

Intel Provides its Multi-Year Roadmap Beyond 3nm to 20A

The News: Intel Corporation (Nasdaq: INTC) today revealed one of the most detailed process and packaging technology roadmaps the company has ever provided, showcasing a series of foundational innovations that will power products through 2025 and beyond. In addition to announcing RibbonFET, its first new transistor architecture in more than a decade, and PowerVia, an industry-first new backside power delivery method, the company highlighted its planned swift adoption of next-generation extreme ultraviolet lithography (EUV), referred to as High Numerical Aperture (High NA) EUV. Intel is positioned to receive the first High NA EUV production tool in the industry. Read the full news release in the Intel Newsroom.

Analyst Take: Adding to what has already been a busy year for Intel, the semiconductor firm has announced an aggressive four-year roadmap designed, in the words of Intel CEO Pat Gelsinger, to lead Intel to “process performance parity in 2024 and leadership by 2025.” This includes the unveiling of a RibbonFET, anew transistor architecture; PowerVia, a backside power delivery system; updated advances in 3D packaging through Foveros Omni and Foveros Direct; and a new node naming framework designed to reflect the upcoming shift from nanometer to angstrom nodes.

This announcement comes right after its impressive Q2 2021 earnings report (where it beat consensus estimates for both earnings and revenue) and amid rumors that it may be in talks to acquire fab company GlobalFoundries, a move that would greatly expand Intel’s fabrication capacity.

With this move, and other recent announcements that we’ll discuss below, we believe the Gelsinger-led Intel is charting a very achievable course to regain a dominant leadership position in the global semiconductor market and is assembling the right components to make it happen in the long run.

Leading Up to This Announcement

The semiconductor industry is in the grips of a global supply chain crisis and a lack of production capacity that has resulted in widespread chip shortages. Intel is also working through a series of pre-Gelsinger business decisions that have impacted its own production capabilities, all while rivals have been moving aggressively to stake out their own leadership positions in both design/IP and fabrication.

But Gelsinger has been both busy and aggressive since taking the helm of Intel. This past March, the company announced major manufacturing expansion plans and a commitment to invest US$20 billion to build new U.S.-based fabrication facilities. It also announced its intentions to expand Intel Foundry Services to become a major provider of foundry capacity for global customers. And during our own Six Five Summit last month, which was kicked off by Gelsinger, the company announced its new Infrastructure Processing Unit (IPU), a programmable networking device designed to intelligently manage system-level infrastructure resources in the data center. These are all strong moves that today’s announcements support and build upon.

Process Announcements

The company has announced two new process technologies, both key to the production and use of next generation chips:

  • RibbonFET is a new gate-all-around transistor, and the company’s first new transistor architecture in a decade. It’s designed to support the upcoming Intel 20A chip slated for 2024 and will feature faster transition switching speeds in a smaller footprint (without any additional drive current).
  • PowerVia features a new optimized signal transmission system that delivers back-side power without the need for front-side power.

Supporting this from a production perspective, Intel has also reaffirmed its plans to implement the next generation of High Numerical Aperture (High NA) ultraviolet lithography, a key element of its expanded production plans.

Packaging Announcements

The company also unveiled two new packaging technologies, Foveros Omni and Foveros Direct, designed to improve chip density through 3D stacking. Meteor Lake is slated to be the second-generation implementation of Foveros, targeting a bump pitch of 36 microns and a thermal power draw range of 5 – 125W. Per the announcement:

  • Foveros Omni ushers in the next generation of Foveros technology by providing unbounded flexibility with performance 3D stacking technology for die-to-die interconnect and modular designs. Foveros Omni allows die disaggregation, mixing multiple top die tiles with multiple base tiles across mixed fab nodes and is expected to be ready for volume manufacturing in 2023.
  • Foveros Direct moves to direct copper-to-copper bonding for low-resistance interconnects and blurs the boundary between where the wafer ends and where the package begins. Foveros Direct enables sub-10-micron bump pitches providing an order of magnitude increase in the interconnect density for 3D stacking, opening new concepts for functional die partitioning that were previously unachievable. Foveros Direct is complementary to Foveros Omni and is also expected to be ready in 2023.

Resetting the Nanometer

The company also unveiled a new naming nomenclature for its upcoming chips, one that is designed to “creating a clear and consistent framework to give customers a more accurate view of process nodes across the industry” and better reflect the value proposition customers can expect of its new Intel Foundry Services. It also sets the stage to shift the focus from nanometer (nm) node descriptions to angstrom node descriptions, an important step as chip density continues to increase (1 namometer = 10 angstroms).

It’s a bit different to think of chips as not tied to a particular nanometer node, but it makes sense.

  • Intel 7 (previously the Enhanced SuperFIn) provides a 10% to 15% performance-per-watt increase compared to the existing Intel 10nm SuperFin. Intel 7 is currently in volume production and will support the new client-focused Alder Lake (2021) and data center-focused Sapphire Rapids (2022) chips.
  • Intel 4 (previously the 7nm node) will feature a 20% performance-per-watt increase and is slated for production in 2022 with volume shipments in 2023. This will drive the new client-focused Meteor Lake and data center-focused Granite Rapids chips (both 2023 availability).
  • Intel 3, providing an incremental 18% performance-per-watt increase over Intel 4 (see the progression here?), will begin production in late 2023 with delivery slated for 2024, and set the stage for the Intel 20A.
  • Intel 20A, featuring Intel’s just-announced RibbonFET and PowerVia process innovations, is the first chip to use the new angstrom nomenclature and is slated for production in 2024.

Hidden in this renaming announcement is the essence of Intel’s “parity by 2024 and supremacy by 2025” strategy – the Intel 20A is slated to be followed by the 18A (which is already in design and slated for production in 2025).

Image courtesy of Intel

Intel, Making it Happen

This announcement strongly reinforces Gelsinger’s previously stated goal of Intel reclaiming a dominant leadership role in both design and fabrication, two halves of a strategy that we believe are essential to not just the success of Intel, but of the global semiconductor industry that needs leadership and expanded capacity over the long-term. To that end, and in support of Intel’s revitalized fabrication plans, the company has announced a commitment from AWS as Intel Services’ initial customer.

Gelsinger also shared an important win for Intel announcing that Qualcomm would be partnering with Intel in 2024, embracing the breakthrough RibbonFET and PowerVia technologies in the A20. Qualcomm CEO Cristiano Amon also alluded to the company’s positive position on having access to another U.S. based leading-edge foundry partner. I believe Intel’s ability to win over a larger swath of fabless semiconductor manufacturers will serve the company well both by augmenting revenue and cementing its role as a partner to the semiconductor ecosystem regardless of the process–even with competitive chips.

Overall, a solid roadmap full of encouraging advancements. But, as I’ve said for multiple years, and even more under the guidance of Pat Gelsinger, execution will be critical.

Is the path ahead for Intel an easy one? No, it’s not. But we’re excited by the energy and focus of Intel under Pat Gelsinger and believe the company is on the right track.

This article includes insights from Futurum Research Analyst Fred McClimans

Futurum Research provides industry research and analysis. These columns are for educational purposes only and should not be considered in any way investment advice. Neither the Author or Futurum Research holds any positions in any companies mentioned in this article.

Other insights from Futurum Research:

Intel Must Execute Its New Strategy Perfectly to Win Back Investors, April 8, 2021

Intel’s COMPUTEX 2021 Announcements focus on Post-Pandemic Life and an Ongoing Commitment to Driving Innovation

Intel says – “I’ll see your IP move and raise you a fab” – Thoughts on an Intel GlobalFoundries acquisition

The Dip in Chips: How the Semiconductor Industry is Responding to the Global Supply Chain Crisis

Intel Q2 Continues Hot Streak as Chip Demand Continues to Surge, July 22, 2021

A Conversation with Intel CEO Pat Gelsinger: First 100 Days

Image Credit: Intel

 

The original version of this article was first published on Futurum Research.

Daniel Newman is the Principal Analyst of Futurum Research and the CEO of Broadsuite Media Group. Living his life at the intersection of people and technology, Daniel works with the world’s largest technology brands exploring Digital Transformation and how it is influencing the enterprise. From Big Data to IoT to Cloud Computing, Newman makes the connections between business, people and tech that are required for companies to benefit most from their technology projects, which leads to his ideas regularly being cited in CIO.Com, CIO Review and hundreds of other sites across the world. A 5x Best Selling Author including his most recent “Building Dragons: Digital Transformation in the Experience Economy,” Daniel is also a Forbes, Entrepreneur and Huffington Post Contributor. MBA and Graduate Adjunct Professor, Daniel Newman is a Chicago Native and his speaking takes him around the world each year as he shares his vision of the role technology will play in our future.

Leave a Comment