Intel Foundry Services Fully Launches IFS Accelerator to Speed Up Foundry Customer Benefits

Intel Foundry Services Fully Launches IFS Accelerator to Speed Up Foundry Customer Benefits

In Technology News by Ron WestfallLeave a Comment

Intel Foundry Services Fully Launches IFS Accelerator to Speed Up Foundry Customer Benefits

The News: Intel Foundry Services (IFS) launched Accelerator, an ecosystem alliance designed to help foundry customers smoothly bring their silicon products from idea to implementation. Through deep collaboration with a group of companies across electronic design automation (EDA), intellectual property (IP) and design services, IFS Accelerator looks to tap the best capabilities available in the industry to help advance customer innovation on Intel’s foundry manufacturing platform. Read the Intel Press Release here.

Intel Foundry Services Fully Launches IFS Accelerator to Speed Up Foundry Customer Benefits

Analyst Take: Intel puts the pedal to the metal by rolling out its full launch of the IFS Accelerator, providing a robust ecosystem alliance to aid foundry customers in fast tracking their silicon products from inception to production. The preliminary phase of IFS Accelerator was initiated in September 2021 to assist automotive chip designers in exploiting more advanced process methods by offering custom and industry-standard IP.

The IFS Accelerator now provides a new suite of tools for customers, including:

  • Validated EDA solutions optimized for Intel’s technology and manufacturing, covering the spectrum from concept to high-volume silicon production.
  • A silicon-verified and Intel process-specific IP portfolio, including standard cell libraries, embedded memories, general purpose I/Os, analog IP, and interface IP.
  • Design services partners that allow customers to focus on creating unique product ideas, assigning implementation tasks to rigorously trained designers well-versed on Intel technology.

I see Intel demonstrating its commitment to make IFS Accelerator an innovation citadel and not simply an order taker for customer requests. Through a collaboration between Intel Capital and Intel Foundry Services, Intel is creating a $1 billion fund to support early-stage startups and established companies building potentially disruptive technologies for the foundry ecosystem. The fund will focus on two key strategic industry inflections: enabling modular products with an open chiplet platform, and supporting design approaches that leverage multiple instruction set architectures (ISAs), spanning x86, Arm and RISC-V.

I anticipate that broader uptake of modular design based on chiplet building blocks can provide architects expanded flexibility to use the myriad suppliers across the open ecosystem to mix and match the IP and process technologies best suited for their product application, including complex system-on-chip (SoC) design. In addition, I expect that open ISAs will increasingly gain traction across the semiconductor ecosystem, since the approach enables users to customize and tailor processor cores to their own specific applications. Specifically, Intel’s open ecosystem outlook aligns with RISC-V ISA uptake since it can enable start-ups and smaller device builders to develop hardware without paying royalties as well as support researchers and developers to experiment more broadly with a known and freely accessibly ISA.

Key Takeaways on Full IFS Accelerator Launch

I view IFS Accelerator as also integral to advancing Intel’s Integrated Device Manufacturer (IDM) 2.0 strategy. I expect that Intel’s IDM 2.0 can yield enduring competitive advantages over key rivals, such as AMD, NVIDIA, and IBM, as they must continue relying on third-party foundries like TMSC and Samsung Electronics to supply their chips. The IDM 2.0 model emphasizes substantial expansion of manufacturing capacity in the U.S. and Europe, as well as the expansion of external foundries for some of its products. For example, just last month Intel announced plans for an initial investment of more than $20 billion in the construction of two new chip factories in Ohio.

While both TSMC and Samsung are committed to expanding their foundry presence in the U.S. to meet stricter security goals and provide more supply chain stability, only Intel can control its own foundry destiny in relation to such key rivals. As we have already seen with Apple placing its order for up to 100 million of its 5nm ‘Bionic’ chips as well as massive 4nm/3nm advance orders with TSMC, foundry capacity can rapidly max out or become extremely tight, obliging chip makers to find alternatives like Samsung, although for many players TSMC would typically be the first preference to handle 5nm/4nm/3nm process manufacturing.

In a nutshell, with this move Intel lessens or minimizes any potential supply chain fallout due to exclusive reliance on third-party foundries while also raising its supply chain and security credentials across the entire semiconductor landscape.

Disclosure: Futurum Research is a research and advisory firm that engages or has engaged in research, analysis, and advisory services with many technology companies, including those mentioned in this article. The author does not hold any equity positions with any company mentioned in this article.

Other insights from Futurum Research:

CES 2022: Intel Battles Rivals Harder with Launch of 12th Gen Intel Core Family of Mobile Processors

Intel Announces Plan to Build Chip Manufacturing Complex in Ohio

Intel InnovatiON 2021: Let Innovation Reign

Image Credit: Intel

 

The original version of this article was first published on Futurum Research.

Ron is an experienced research expert and analyst, with over 20 years of experience in the digital and IT transformation markets. He is a recognized authority at tracking the evolution of and identifying the key disruptive trends within the service enablement ecosystem, including software and services, infrastructure, 5G/IoT, AI/analytics, security, cloud computing, revenue management, and regulatory issues.

Leave a Comment